AES Semigas

IQE

27 November 2023

Veeco’s Q3 revenue and profits exceed guidance

For third-quarter 2023, epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has reported revenue of $177.4m, up 10% on $161.6m last quarter and up 3.2% on $171.9m a year ago, driven by continued strength in the Semiconductor business and sequential growth in Data Storage business. This exceeded the $155–175m guidance range, after shipping one or two more systems than forecasted at the end of Q3 rather than the beginning of Q4. “Our on-time delivery for systems was, if not 100%, extremely close to 100%,” notes CEO Bill Miller Ph.D.

After a record $106m in Q2, revenue from the Semiconductor segment (Front-End and Back-End, as well as EUV Mask Blank systems and Advanced Packaging) was a still strong $98m (56% of revenue), including shipping multiple laser spike annealing (LSA) systems to leading tier-1 logic and memory customers. “Shipments remained elevated during the quarter due to broad-based demand from logic and memory customers,” says Miller.

The Data Storage segment (equipment for thin-film magnetic head manufacturing) contributed $34m (19% of revenue), up from $14m last quarter and $28m a year ago.  

The Scientific & Other segment (research institutions and other applications) contributed $20m (11% of total revenue), up on $17m last quarter and $16m a year ago.

The Compound Semiconductor sector (Power Electronics, RF Filter & Device applications, and Photonics including specialty, mini- and micro-LEDs, VCSELs, laser diodes) contributed $26m (14% of revenue), down on $28m a year ago but up on $24m last quarter.

By region, the USA rose further, from 22% of revenue last quarter to 33%, due to an increase in shipments to Data Storage customers. Revenue from the Asia-Pacific (excluding China) region fell back, from 36% to 29% of total revenue due to a decline in Semiconductor sales following the significant increase in Q2. As forecasted, China fell further, from 31% to 23% of revenue. Europe, Middle-East & Africa (EMEA) returned to 15% of revenue, after a dip to 11% last quarter.

On a non-GAAP basis, gross margin has risen further, from 42% a year ago and 42.7% last quarter to 44.2% (exceeding the 42–43% guidance), positively impacted by higher volume and a more favorable product mix.

Operating expenses have risen further, from $43.9m a year ago and $44.8m last quarter to $45.7m, in line with the $45–47m guidance as the firm maintains its focus on cost management while also prioritizing investment for future growth opportunities.

Net income was $31m ($0.53 per diluted share), up from $20.6m ($0.36 per diluted share) last quarter and $26m ($0.45 per diluted share) a year ago, and exceeding the guidance range of $17–23m ($0.30–0.40 per diluted share).

Cash flow from operations has fallen further, from $11m last quarter to $7m. After being reduced to $4m last quarter, capital expenditure (CapEx) was increased to $6m. Overall, cash and short-term investments remained about $287m.

Long-term debt remained about $275m, representing the carrying value of our $282m of convertible notes.

Inventory rose further, by $8m from last quarter’s $244m to $252m, while days of inventory outstanding (DIO) fell from 225 days to 222 days, due to continued investment in the firm’s evaluation program and to support revenue growth in second-half 2023.

“Veeco reported another quarter of strong top- and bottom-line results, both above the high-end of our guidance,” comments Miller. “In addition, our strategic initiative to grow in the Semiconductor market continues to gain traction.”

“Veeco’s laser annealing technology is growing in criticality at our customer’s most advanced nodes as traditional technology struggle to meet performance requirements. For example, new gate-all-around architectures and shrinking devices require precise higher-temperature annealing technology to increase performance and minimize damage,” says Miller. “In comparison to traditional lamp approaches, our laser annealing system has several advantages. These include a lower thermal budget, higher dopant activation, and pattern insensitivity to annealing. Veeco’s laser annealing system continues to be adopted by new and existing customers for new applications, with recent wins validating our position,” he adds. “Looking ahead, we’re focused on gaining further adoption in new markets and applications.”

In particular, Veeco had several key business wins during the quarter. The firm received its first laser annealing system order for a new application to serve the automotive market. It also received its first low-defect-density (LDD) ion beam deposition (IBD) system order for a new extreme ultraviolet (EUV) pellicle mask blank application.

“We continue to make progress with our nanosecond annealing and ion beam deposition products for wafer-level semiconductor manufacturing,” says Miller.

Veeco shipped its first nanosecond annealing system to a tier-1 logic customer, for one-year evaluation. “Pull from tier-1 logic and memory customers is strong, and we plan another evaluation shipment in the coming months,” says Miller. “If successful, [this] can significantly expand our served available market… We see potential for initial high-volume manufacturing orders in late 2024 or 2025,” he adds. “Compared to traditional annealing solutions, our nanosecond annealing system can achieve a lower thermal budget enabled by a dwell time that can be up to 1000 times shorter than today's most advanced anneals. Our nanosecond annealing system can rapidly heat the surface of the wafer and only affect tens to hundredths of nanometers into the wafer. This may enable new applications such as backside power delivery, and contact anneal for advanced nodes. It also may enable new applications requiring material modification such as void removal, recrystallization, and grain growth,” Miller says. “After working on the technology for a number of years, this as an important milestone in expanding laser annealing into a broad range of new Semiconductor applications,” he adds.

“Veeco’s low-defect-density ion beam deposition system is the technology of choice to deposit defect-free films for EUV mask blank production, and we are well positioned to serve growing demand from adoption of EUV lithography,” reckons Miller. “While we continue to see this market at about 3–5 systems per year [at a rate of one Veeco system for every 10–15 EUV lithography scanners shipped by ASML], we see potential to expand our business beyond the current application space in areas such as pellicle deposition.”

“In advanced packaging, our wet processing solutions are used for photo-resist strip, solvent cleans and flux removal for high-bandwidth memory and temporary bond material strip,” says Miller. “During the quarter, leading foundry and memory customers placed orders for several Flux Clean systems that support advanced packaging for AI.”

For fourth-quarter 2023, Veeco expects revenue of $155–175m (including about $105m in the Semiconductor segment). Gross margin should fall slightly to 43–44%. With operating expenses of $45–47m, the firm forecasts a decline in net income to $20–27m ($0.35–0.45 per diluted share).

“We expect an increase in China revenue in Q4 [to the high-30% range of total revenue] as customers are continuing to make investments in several areas for mature nodes,” says senior VP & chief financial officer John Kiernan. Full-year revenue from China is expected to rise to the low-30% range (compared with about 20% over the past couple of years), driven mostly by the laser annealing product line.

Full-year 2023 revenue guidance has now been tightened and increased from the prior range of $630–670m to $648–668m (up on 2022’s $646.1m), including about $405m in the Semiconductor segment. “Based on our strong year-to-date results and outlook, we expect our Semiconductor business to outperform the wafer fab equipment (WFE) market and be up about 10% for the year,” says Miller.

Previously, in August, Veeco raised its full-year gross margin guidance from 41–42% to 42–43%. “We are again raising our profitability outlook for the year to account for higher revenue, stronger gross margin, and lower tax rate,” says Kiernan. Therefore, after in August raising its guidance for diluted earnings per share (EPS) from $1.15–1.35 to $1.30–1.50, Veeco has increased this again, to $1.55–1.65 (compared with $1.57 for 2022).

Based on market conditions and visibility, Q1/2024 revenue is looking to be in a similar range to quarterly revenue in second-half 2023.

In the Compound Semiconductor segment, Veeco reckons that the market for epitaxy equipment provides a substantial growth opportunity.

“We are on track with our plan post our silicon carbide [chemical vapor deposition] epi equipment company acquisition [of Epiluvac AB of Lund, Sweden] in January,” says Miller. “We have a tool operating in Somerset, New Jersey. We are running films. We’ve demonstrated high growth rate, we’ve demonstrated good film quality, good uniformity, morphology etc, and we are building out our demo bingo sheet, if you will, and making progress there. Our plan is to be demo ready by year-end, so we are feverishly working toward that with the goal of putting a few silicon carbide evaluation systems out in the field next year,” he adds. “Because I feel we’re on track with the original plan we laid out, we’ve spoken to a lot of tier-1 customers in the industry,” continues Miller. “Interest in our single-wafer solution is strong, with several evaluation shipments to tier-1 customers planned for next year. Looking ahead, our unique system design, years of experience with epitaxy technology and extensive go-to-market infrastructure, position us well to capture share,” he reckons.

“Likewise, we are also investing in GaN power and micro-LED, as the long-term fundamentals in these markets remain positive,” says Miller.

“We have a long-term opportunity to capitalize on growing demand in the compound semiconductor equipment market for power electronics and photonics applications,” he concludes.

See related items:

Veeco’s Q2 record semiconductor revenue drives growth

Veeco proposes private offering of $200m of convertible senior notes

Veeco’s semiconductor-related revenue up 20% year-on-year in Q1

Veeco grows revenue 11% in 2022, despite 10.5% dip in Q4 driven by smartphone-related 5G RF weakness

Veeco acquires silicon carbide CVD system maker Epiluvac

Tags: Veeco MOCVD MBE

Visit: www.veeco.com

RSS

Book This Space